Home » News and posts » IEEE International Interconnect Technology Conference
Conferences

IEEE International Interconnect Technology Conference

IITC

IEEE International Interconnect Technology Conference/
Advanced Metallization Conference
May 20  23, 2014
DoubleTree Hotel, San Jose, California
http://www.ieee.org/conference/iitc

EARLY REGISTRATION DEADLINE  April 30

In 2014, the International Interconnect Technology Conference (IITC) will be held in conjunction with the Advanced Metallization Conference (AMC) in San Jose, California. The 17th annual IITC is sponsored by the IEEE Electron Devices Society as a premier conference for interconnect technology. The joint conference will mark the 31st AMC, representing an annual series of meetings devoted to leading-edge research in the field of advanced metallization and 3D integration for ULSI IC applications.

This years conference will focus on innovative developments in the critically important field of interconnections for electronic systems. The conference will present papers on all aspects of interconnects for device, circuit board and system-level applications. The conference topics include both fundamental and applied research, as well as issues related to introduction of enabling technologies into manufacturing. The objective of the conference is to provide a forum for open discussions ranging from basic science to industrial application. It is targeted towards material scientists, process and integration engineers and PhD students active in the areas of semiconductor processing, advanced materials, equipment development, and interconnect systems.

Conference details, including the Technical Program, Short Course agenda, registration, hotel reservations and exhibit/sponsor information are available on our web site:  http://www.ieee.org/conference/iitc

Technical Program:  60 papers and poster presentations. These include:
  A near-zero keep-out zone Through Silicon Via technology (Globalfoundries)
  Experimental results on monolithic 3D-IC technology (CEA-LETI)
  Demonstration of graphene interconnects having lower resistivity than copper (AIST)
  Vertical carbon nanotube interconnects with mean free path approaching copper (imec)
  Process integration of 3D capacitors with 22nm BEOL for high-density embedded DRAM (Intel)
  Barrier and cap layer schemes to boost electromigration lifetime by ~1000x (IBM, Applied Materials)
Besides these, several other exciting developments and directions will be showcased at IITC/AMC 2014.

Keynote Presentation:  Randhir Thakur, Executive Vice President and General Manager of the Silicon Systems Group, Applied Materials, Inc.

Workshop (Tuesday, May 20):  A day-long workshop, Manufacturing of Interconnect Technologies: Where are we now and where do we go from here? is included in the conference registration fee.  It features manufacturing experts from across the industry who will present their views. A panel discussion at the end of the day will allow open discussion and audience participation.

Supplier Exhibits and Seminars:  Displays from leading suppliers of process equipment, materials, metrology tools and analytical services for advanced interconnects will be exhibited. There will also be evening supplier seminars on new developments in leading edge interconnect technology.

Registration/Hotel Information: Complete details to register for the conference or make a reservation at the DoubleTree Hotel are on the website:  http://www.ieee.org/conference/iitc

For additional information contact:
Wendy Walker, IITC,
Tel: +1-301-527-0900 x3,
Fax: +1-301-527-0994,
email: wwalker@widerkehr.com

IITC Conference
19803 Laurel Valley Place
Montgomery Village, MD 20886 USA

Follow Us

Find Announcements

Past Announcements

Local Events

Region Meetings